Firma Intel przyspiesza innowacje w zakresie pakowania i procesów



Firma Intel Corporation ujawniła dziś jeden z najbardziej szczegółowych planów rozwoju technologii przetwarzania i pakowania, jakie kiedykolwiek przedstawiła firma, prezentując szereg fundamentalnych innowacji, które będą napędzać produkty do 2025 r. i później. Oprócz ogłoszenia RibbonFET, swojej pierwszej nowej architektury tranzystorowej od ponad dekady, oraz PowerVia, pierwszej w branży nowej metody dostarczania zasilania z tyłu, firma zwróciła uwagę na planowane szybkie wdrożenie litografii ekstremalnego ultrafioletu (EUV) nowej generacji. jako wysoka apertura numeryczna (wysoka NA) EUV. Intel ma szansę otrzymać pierwsze w branży narzędzie do produkcji EUV o wysokiej NAU.

„Opierając się na niekwestionowanym przywództwie Intela w dziedzinie zaawansowanych opakowań, przyspieszamy naszą mapę drogową innowacji, aby zapewnić, że do 2025 r. jesteśmy na jasnej ścieżce wiodącej pozycji w zakresie wydajności przetwarzania” — powiedział Pat Gelsinger, dyrektor generalny firmy Intel podczas globalnego webcastu „Intel Accelerated”. „Wykorzystujemy nasz niezrównany ciąg innowacji, aby dostarczać postęp technologiczny od tranzystora po poziom systemu. Dopóki układ okresowy pierwiastków nie zostanie wyczerpany, będziemy nieugięci w dążeniu do prawa Moore’a i naszej drogi do innowacji dzięki magii krzemu. “

Branża od dawna zdaje sobie sprawę, że tradycyjne, oparte na nanometrach nazewnictwo węzłów procesów przestało odpowiadać rzeczywistej metryce długości bramki w 1997 roku. Dzisiaj Intel wprowadził nową strukturę nazewnictwa dla swoich węzłów procesów, tworząc przejrzystą i spójną strukturę, aby zapewnić klientom dokładniejszy widok węzłów procesowych w całej branży. Ta przejrzystość jest ważniejsza niż kiedykolwiek wraz z wprowadzeniem usług Intel Foundry Services. „Innowacje, które zostały zaprezentowane dzisiaj, nie tylko umożliwią opracowanie planu rozwoju produktów Intela, ale również będą miały decydujące znaczenie dla naszych klientów odlewni” – powiedział Gelsinger. „Zainteresowanie firmą IFS było duże i jestem podekscytowany, że dzisiaj ogłosiliśmy naszych pierwszych dwóch głównych klientów. IFS wyrusza na wyścigi!”

Technolodzy firmy Intel opisali następującą mapę drogową z nowymi nazwami węzłów i innowacjami umożliwiającymi każdemu z nich:

  • Intel 7 zapewnia około 10% do 15% wzrost wydajności na wat w porównaniu z Intel 10 nm SuperFin, w oparciu o optymalizacje tranzystorów FinFET. Intel 7 będzie dostępny w produktach takich jak Alder Lake dla klienta w 2021 roku i Sapphire Rapids dla centrum danych, które ma trafić do produkcji w pierwszym kwartale 2022 roku.
  • Intel 4 w pełni obsługuje litografię EUV, aby drukować niewiarygodnie małe elementy przy użyciu światła o ultrakrótkiej długości fali. Przy około 20% wzroście wydajności na wat, wraz z poprawą obszaru, Intel 4 będzie gotowy do produkcji w drugiej połowie 2022 r. dla produktów wysyłanych w 2023 r., w tym Meteor Lake dla klienta i Granite Rapids dla centrum danych.
  • Intel 3 wykorzystuje dalsze optymalizacje FinFET i zwiększone EUV, aby zapewnić około 18% wzrost wydajności na wat w porównaniu z Intel 4, wraz z dodatkowymi ulepszeniami obszaru. Intel 3 będzie gotowy do rozpoczęcia produkcji w drugiej połowie 2023 roku.
  • Intel 20A wprowadza w erę angstremów dzięki dwóm przełomowym technologiom: RibbonFET i PowerVia. RibbonFET, implementacja tranzystora typu „gate-all-around” firmy Intel, będzie pierwszą nową architekturą tranzystorową firmy, odkąd w 2011 r. była pionierem technologii FinFET. Technologia ta zapewnia szybsze przełączanie tranzystorów przy jednoczesnym osiągnięciu takiego samego prądu sterującego jak wiele żeber przy mniejszej powierzchni. PowerVia to unikatowa, pierwsza w branży implementacja dostarczania zasilania z tyłu firmy Intel, optymalizująca transmisję sygnału przez eliminację potrzeby kierowania zasilania z przodu płytki. Oczekuje się, że Intel 20A wzrośnie w 2024 roku. Firma jest również podekscytowana możliwością współpracy z Qualcomm przy użyciu technologii procesowej Intel 20A.
  • 2025 i dalej: Poza Intelem 20A, Intel 18A jest już opracowywany na początek 2025 r. z udoskonaleniami RibbonFET, które zapewnią kolejny duży skok wydajności tranzystorów. Intel pracuje również nad zdefiniowaniem, zbudowaniem i wdrożeniem nowej generacji High NA EUV i spodziewa się otrzymać pierwsze narzędzie produkcyjne w branży. Intel ściśle współpracuje z ASML, aby zapewnić sukces tego przełomu w branży poza obecną generacją EUV.

„Firma Intel ma długą historię wprowadzania fundamentalnych innowacji w zakresie procesów, które napędzały branżę w zawrotnym tempie” — powiedziała dr Ann Kelleher, wiceprezes i dyrektor generalny ds. rozwoju technologii. „Przeprowadziliśmy przejście na naprężony krzem przy 90 nm, bramki metalowe o wysokim współczynniku k przy 45 nm i FinFET przy 22 nm. Intel 20A będzie kolejnym przełomowym momentem w technologii procesowej dzięki dwóm przełomowym innowacjom: RibbonFET i PowerVia”.

Wraz z nową strategią IDM 2.0 firmy Intel opakowanie staje się jeszcze ważniejsze dla realizacji korzyści wynikających z prawa Moore’a. Firma Intel ogłosiła, że ​​AWS będzie pierwszym klientem, który będzie korzystał z rozwiązań opakowaniowych IFS, zapewniając jednocześnie następujące informacje na temat wiodącej w branży mapy drogowej zaawansowanych opakowań firmy:

  • EMIB nadal jest liderem w branży jako pierwsze rozwiązanie z wbudowanym mostem 2.5D, którego produkty są sprzedawane od 2017 roku. Sapphire Rapids będzie pierwszym produktem z procesorem Intel Xeon do centrum danych, który będzie sprzedawany masowo wraz z EMIB (wbudowany wieloelementowy mostek łączący). Będzie to również pierwsze w branży urządzenie o podwójnej siatce, zapewniające niemal taką samą wydajność jak konstrukcja monolityczna. Poza Sapphire Rapids następna generacja EMIB zmieni się z 55-mikronowego skoku nierówności na 45 mikronów.
  • Foveros wykorzystuje możliwości pakowania na poziomie wafla, aby zapewnić pierwsze w swoim rodzaju rozwiązanie do układania w stosy 3D. Meteor Lake będzie implementacją drugiej generacji Foveros w produkcie klienta i charakteryzuje się skokiem nierówności 36 mikronów, płytkami obejmującymi wiele węzłów technologicznych i zakresem mocy cieplnej od 5 do 125 W.
  • Foveros Omni wprowadza nową generację technologii Foveros, zapewniając nieograniczoną elastyczność dzięki wydajnej technologii układania w stosy 3D dla połączeń typu die-to-die i konstrukcji modułowych. Foveros Omni umożliwia dezagregację matryc, mieszając wiele płytek górnych matryc z wieloma płytkami podstawowymi w mieszanych węzłach fabryk i oczekuje się, że będzie gotowy do masowej produkcji w 2023 roku.
  • Foveros Direct przechodzi na bezpośrednie łączenie miedzi z miedzią w przypadku interkonektów o niskiej rezystancji i zaciera granicę między miejscem, w którym kończy się wafel, a miejscem, w którym zaczyna się opakowanie. Foveros Direct umożliwia sub-10-mikronowe skoki wypukłości, zapewniając o rząd wielkości wzrost gęstości połączeń do układania w stosy 3D, otwierając nowe koncepcje funkcjonalnego podziału matrycy, które wcześniej były nieosiągalne. Foveros Direct jest uzupełnieniem Foveros Omni i oczekuje się, że będzie gotowy w 2023 roku.

Omawiane dzisiaj przełomy zostały opracowane głównie w zakładach Intela w Oregonie i Arizonie, ugruntowując rolę firmy jako jedynego wiodącego gracza prowadzącego badania, rozwój i produkcję w USA. Ponadto innowacje opierają się na ścisłej współpracy z ekosystemem partnerów w zarówno w USA, jak iw Europie. Głębokie partnerstwo ma kluczowe znaczenie dla wprowadzania fundamentalnych innowacji z laboratorium do produkcji masowej, a firma Intel jest zaangażowana we współpracę z rządami w celu wzmocnienia łańcuchów dostaw oraz zwiększenia bezpieczeństwa gospodarczego i narodowego.

Reklama

Firma zamknęła transmisję internetową, potwierdzając więcej szczegółów na temat wydarzenia Intel InnovatiON. Intel InnovatiON odbędzie się w San Francisco i online w dniach 27-28 października 2021 r. Więcej informacji można znaleźć na stronie Witryna Intel ON.



Source link

Advertisment

Więcej

ZOSTAW ODPOWIEDŹ

Proszę wpisać swój komentarz!
Proszę podać swoje imię tutaj

Advertisment

Podobne

Advertisment

Najnowsze

Dyrektor generalny SK hynix mówi, że produkcja HBM z 2025 r. jest prawie wyprzedana

SK hynix zorganizowało dziś konferencję prasową, na której przedstawił swoją wizję i strategię na erę sztucznej inteligencji w swojej siedzibie głównej w Icheon...

Ile Google zapłacił Apple za bycie wyszukiwarką Safari w 2022 roku

Nie jest tajemnicą, że Google płaci Apple’owi ogromne pieniądze za bycie domyślną wyszukiwarką dla przeglądarki Safari na iOS i macOS. W 2021...

Asus nie chce powiedzieć, czy czytnik kart SD w ROG Ally zostanie kiedykolwiek naprawdę naprawiony

1) Czy Asus rzeczywiście znalazł naprawę sprzętową wadliwych czytników kart SD? Jeśli ktoś w ten sposób zwróci swojego sojusznika Asusowi i otrzyma...
Advertisment